Browsing by Titles

Jump to
All A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
or enter first few letters:

Showing results 1 to 20 of 23

Issue Date Title Author(s) Journal View
2022-07-14

Park, Jongho Kwon, Hyuk-jun Kim, Seowoo Lee, Junyoung Ha, Minho Lim, Euicheol Imani, Mohsen Kim, Yeseong

Design Automation Conference, pp.1159 - 1164, 2022-07-14

7
2022-07-12

Imani, Mohsen Zakeri, Ali Chen, Hanning Kim, TaeHyun Poduval, Prathyush Lee, Hyunsei Kim, Yeseong Sadredini, Elaheh Imani, Farhad

Design Automation Conference, pp.31 - 36, 2022-07-12

8
2022-07-10

Poduval, Poduval Ni, Yang Kim, Yeseong Ni, Kai Kumar, Raghavan Cammarota, Rossario Imani, Mohsen

Design Automation Conference, pp.367 - 372, 2022-07-10

5
2021-11-03

Kim, Yeseong Imani, Mohsen Gupta, Saransh Zhou, Minxuan Rosing, Tajana S.

IEEE/ACM International Conference on Computer-Aided Design, pp.1 - 9, 2021-11-03

7
2021-12-05

Kim, Yeseong Kim, Jiseung Imani, Mohsen

Design Automation Conference, pp.775 - 780, 2021-12-05

7
2022-01-18

Kang, Jaeyoung Khaleghi, Behnam Kim, Yeseong Rosing, Tajana

27th Asia and South Pacific Design Automation Conference, ASP-DAC 2022, pp.220 - 225, 2022-01-18

7
2021-02-27

Imani, Mohsen Zou, Zhuowen Bosch, Samuel Rao, Sanjay Anantha Salamat, Sahand Kumar, Venkatesh Kim, Yeseong Rosing, Tajana

International Symposium on High-Performance Computer Architecture, pp.221 - 234, 2021-02-27

8
2022-06-18

Zou, Zhuowen Chen, Hanning Poduval, Prathyush Kim, Yeseong Imani, Mahdi Sadredini, Elaheh Cammarota, Rosario Imani, Mohsen

ACM/IEEE International Symposium on Computer Architecture, pp.656 - 669, 2022-06-18

6
2022-02-22

Park, Jisung Kim, Jeonggyun Kim, Yeseong Lee, Sungjin Mutlu, Onur

USENIX Conference on File and Storage Technologies, pp.247 - 263, 2022-02-22

11
2022-03-17

Ni, Yang Kim, Yeseong Rosing, Tajana Imani, Mohsen

Design Automation and Test in Europe Conference, pp.292 - 297, 2022-03-17

10
2022-03-23

Shim, Jun S. Han, Bogyeong Kim, Yeseong Kim, Jihong

Design Automation and Test in Europe Conference, pp.1491 - 1496, 2022-03-23

11
2022-03-22

Ni, Yang Kim, Yeseong Rosing, Tajana Imani, Mohsen

Design Automation and Test in Europe Conference, pp.612 - 615, 2022-03-22

10
2021-01-18

Guo, Yunhui Imani, Mohsen Kang, Jaeyoung Salamat, Sahand Morris, Justin Aksanli, Baris Kim, Yeseong Rosing, Tajana

26th Asia and South Pacific Design Automation Conference, ASP-DAC 2021, pp.384 - 389, 2021-01-18

8
2021-02-01

Zou, Zhuowen Kim, Yeseong Najafi, Mohammadreza Najafi Imani, Mohsen

Design Automation and Test in Europe Conference, pp.850 - 855, 2021-02-01

11
2021-02-04

Hernandez-Cano, Alejandro Kim, Yeseong Imani, Mohsen

Design Automation and Test in Europe Conference, pp.1859 - 1864, 2021-02-04

12
2023-04-18

Kim, Jiseung Lee, Hyunsei Imani, Mohsen Kim, Yeseong

Design Automation and Test in Europe Conference, 2023-04-18

11
2023-07-20

Imani, Mohsen Kim, Yeseong Khaleghi, Behnam Morris, Justin Alimohamadi, Haleh Imani, Farhad Latapie, Hugo

IEEE International Conference on Distributed Computing Systems, pp.511 - 522, 2023-07-20

49
2023-08-24

Ni, Yang Kim, Yeseong Rosing, Tajana Imani, Mohsen

International Joint Conference on Artificial Intelligence, pp.6474 - 6479, 2023-08-24

51
2023-07-13

Lee, Hyunsei Kim, Jiseung Chen, Hanning Zeira, Ariela Srinivasa, Narayan Imani, Mohsen Kim, Yeseong

Design Automation Conference, pp.23709098, 2023-07-13

14
2023-06-06

Ni, Yang Abraham, Danny Issa, Mariam Kim, Yeseong Mercati, Pietro Imani, Mohsen

ACM Great Lakes Symposium on VLSI, GLSVLSI 2023, pp.449 - 453, 2023-06-06

49
1 2 > >>

BROWSE