Current filters:
Add filters:

Results 1-7 of 7 (Search time: 0.001 seconds).

Item hits:

Issue Date Title Author(s) Journal View
2021-11-03

Kim, Yeseong Imani, Mohsen Gupta, Saransh Zhou, Minxuan Rosing, Tajana S.

IEEE/ACM International Conference on Computer-Aided Design, pp.1 - 9, 2021-11-03

7
2021-12-05

Kim, Yeseong Kim, Jiseung Imani, Mohsen

Design Automation Conference, pp.775 - 780, 2021-12-05

7
2021-02-27

Imani, Mohsen Zou, Zhuowen Bosch, Samuel Rao, Sanjay Anantha Salamat, Sahand Kumar, Venkatesh Kim, Yeseong Rosing, Tajana

International Symposium on High-Performance Computer Architecture, pp.221 - 234, 2021-02-27

8
2021-01-18

Guo, Yunhui Imani, Mohsen Kang, Jaeyoung Salamat, Sahand Morris, Justin Aksanli, Baris Kim, Yeseong Rosing, Tajana

26th Asia and South Pacific Design Automation Conference, ASP-DAC 2021, pp.384 - 389, 2021-01-18

8
2021-02-01

Zou, Zhuowen Kim, Yeseong Najafi, Mohammadreza Najafi Imani, Mohsen

Design Automation and Test in Europe Conference, pp.850 - 855, 2021-02-01

11
2021-02-04

Hernandez-Cano, Alejandro Kim, Yeseong Imani, Mohsen

Design Automation and Test in Europe Conference, pp.1859 - 1864, 2021-02-04

12
2021-11-14

Zou, Zhuowen Kim, Yeseong Imani, Farhad Alimohamadi, Haleh Cammarota, Rosario Imani, Mohsen

ACM/IEEE International Conference for High Performance Computing, Networking, Storage, and Analysis (SC21), pp.1 - 15, 2021-11-14

7
1

Discover

BROWSE