Current filters:
Add filters:

Results 1-10 of 23 (Search time: 0.001 seconds).

Item hits:

Issue Date Title Author(s) Journal View
2022-07-14

Park, Jongho Kwon, Hyuk-jun Kim, Seowoo Lee, Junyoung Ha, Minho Lim, Euicheol Imani, Mohsen Kim, Yeseong

Design Automation Conference, pp.1159 - 1164, 2022-07-14

7
2022-07-12

Imani, Mohsen Zakeri, Ali Chen, Hanning Kim, TaeHyun Poduval, Prathyush Lee, Hyunsei Kim, Yeseong Sadredini, Elaheh Imani, Farhad

Design Automation Conference, pp.31 - 36, 2022-07-12

8
2022-07-10

Poduval, Poduval Ni, Yang Kim, Yeseong Ni, Kai Kumar, Raghavan Cammarota, Rossario Imani, Mohsen

Design Automation Conference, pp.367 - 372, 2022-07-10

5
2021-11-03

Kim, Yeseong Imani, Mohsen Gupta, Saransh Zhou, Minxuan Rosing, Tajana S.

IEEE/ACM International Conference on Computer-Aided Design, pp.1 - 9, 2021-11-03

7
2021-12-05

Kim, Yeseong Kim, Jiseung Imani, Mohsen

Design Automation Conference, pp.775 - 780, 2021-12-05

7
2022-01-18

Kang, Jaeyoung Khaleghi, Behnam Kim, Yeseong Rosing, Tajana

27th Asia and South Pacific Design Automation Conference, ASP-DAC 2022, pp.220 - 225, 2022-01-18

7
2021-02-27

Imani, Mohsen Zou, Zhuowen Bosch, Samuel Rao, Sanjay Anantha Salamat, Sahand Kumar, Venkatesh Kim, Yeseong Rosing, Tajana

International Symposium on High-Performance Computer Architecture, pp.221 - 234, 2021-02-27

8
2022-06-18

Zou, Zhuowen Chen, Hanning Poduval, Prathyush Kim, Yeseong Imani, Mahdi Sadredini, Elaheh Cammarota, Rosario Imani, Mohsen

ACM/IEEE International Symposium on Computer Architecture, pp.656 - 669, 2022-06-18

6
2022-02-22

Park, Jisung Kim, Jeonggyun Kim, Yeseong Lee, Sungjin Mutlu, Onur

USENIX Conference on File and Storage Technologies, pp.247 - 263, 2022-02-22

11
2022-03-17

Ni, Yang Kim, Yeseong Rosing, Tajana Imani, Mohsen

Design Automation and Test in Europe Conference, pp.292 - 297, 2022-03-17

10
1 2 3 next

Discover

BROWSE