Current filters:
Add filters:

Results 1-5 of 5 (Search time: 0.002 seconds).

Item hits:

Issue Date Title Author(s) Journal View
2021-01

Alian, Mohammad Shin, Jongmin Kang, Ki Dong Wang, Ren Daglis, Alexandros Kim, Daehoon Kim, Nam Sung

IEEE Computer Architecture Letters, v.20, no.1, pp.30 - 33, 2021-01

493
2020-01

Kang, Ki Dong Park, Gyeoung Seo Kim, Nam Sung Kim, Daehoon

Institute of Electrical and Electronics Engineers, 2020-01

665
2022-10-03

Alian, Mohammad Agarwal, Siddharth Shin, Jongmin Patel, Neel Yuan, Yifan Kim, Daehoon Wang, Ren Kim, Nam Sung

IEEE/ACM International Symposium on Microarchitecture, pp.480 - 493, 2022-10-03

9
2023-07

Lee, Seunghak Kang, Ki-Dong Park, Gyeongseo Kim, Nam Sung Kim, Daehoon

IEEE Computer Architecture Letters, v.22, no.2, pp.157 - 160, 2023-07

152
2021-10-20

Kang, Ki Dong Park, Gyeongseo Kim, Hyosang Alian, Mohammad Kim, Nam Sung Kim, Daehoon

54th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp.143 - 154, 2021-10-20

51
1

Discover

BROWSE