Cited time in webofscience Cited time in scopus

Formation of Low Resistance Contacts to Silicon and Germanium by Low-Temperature Process

Title
Formation of Low Resistance Contacts to Silicon and Germanium by Low-Temperature Process
Alternative Title
저온 공정을 통한 실리콘과 저마늄계 반도체의 저저항 접촉 구조 형성에 관한 연구
Author(s)
Seunghun Baik
DGIST Authors
Seunghun BaikHyuk-Jun KwonSangyoon Han
Advisor
권혁준
Co-Advisor(s)
Sangyoon Han
Issued Date
2024
Awarded Date
2024-02-01
Type
Thesis
Description
Silicon; Germanium; Phosphorus; Laser annealing; Low resistive contact; 실리콘; 저마늄; 인; 레이저 열처리; 저저항 접촉
Abstract
Moore의 법칙에 따라 2년마다 트랜지스터 밀도가 두 배가 될 것을 예측할 수 있으나, 기존의 소형화 방법이 물리적이고 기술적인 한계에 접근함에 따라 이에 대한 기준을 맞추기 위해 혁신적인 접근법이 요구되고 있음. Monolithic 3D (M3D)는 단일 칩 내에 여러 반도체 소자 계층을 수직으로 쌓아, 기기 밀도를 증가시키고 성능을 향상시키며 전력 소비를 줄이는 기술임. 이 방법은 내부 배선의의 길이와 저항을 최소화함으로써 데이터 전송 속도를 최적화하는 것을 가능하게 함. 그러나 M3D의 성공적인 구현을 위해서는 레이저 열처리 공정과 같은 저온 처리 기술이 매우 중요하며 여러 과제의 해결이 필수적임.
상보적 전계 효과 트랜지스터(Complementary Field-Effect Transistor, CFET)는 새로운 트랜지스터 구조로서, 전통적인 CMOS 구조의 미래로 여겨지고 있음. 이와 같은 구조는 더 높은 구동 전류, 가속화된 스위칭 속도, 그리고 전체 전력 소비의 감소와 같은 많은 이점을 제공함. 더 나아가, CFET의 성능을 강화하기 위한 고이동성 반도체와 같은 첨단 재료의 탐구도 진행중이며, 이러한 측면에서, 실리콘(Si)에 비해 더 높은 전하 운반 능력과 더 작은 밴드갭을 특징으로 하는 저마늄(Ge)는 고성능이면서 저전력 기기를 제작하는 데 매력적인 선택지가 될 수 있음. 추가적으로, 기존의 Si를 사용한 반도체 소자 공정에 Ge를 통합하기 쉬우며 이러한 특성은 Si을 사용한 기존 반도체 산업에 추가되어 사용될 수 있는 Ge에 대한 연구의 중요성을 부각함.
본 논문은 레이저 활성화, 레이저 실리사이드 공정, 공동 주입, 및 접촉 형성 기술을 포함한 혁신적인 저온 공정을 통해 차세대 반도체 공정에 대해 탐구하였음. 이를 통해, 높은 도핑 농도를 달성하고, 도핑 확산을 최소화하며, 안정적인 낮은 저항의 접촉을 구축 수 있는 방안을 제시하는 연구 결과를 보여줌.
먼저, 연속초(Continuous-wave, CW) 및 나노초 레이저 열처리 기술을 통해 Si에서 인(Phosphorus, P) 불순물을 활성화하여 얕은 접합을 형성하고, 깊이 방향으로의 확산을 최소화함. 본 연구에서는 연속초 레이저가 불순물의 확산을 부분적으로 억제하며 활성화 공정을 진행할 수 있지만, 표면의 손상에 대해서는 주의가 필요한 결과를 얻음. 따라서 나노초 레이저 활성화 공정(Laser Activation Process, LAP)이 다음 공정으로 탐구 되었음. LAP은 빠른 공정 시간으로 불순물의 확산을 최소화 하였고, 표면의 불순물 농도를 증가시키는 결과를 보여줌. 최종적으로, LAP이 M3D 기기 구조에서 소스와 드레인 영역을 형성하는 데 적용될 수 있는지 연구되었으며, 접촉 저항을 크게 개선하고 성공적으로 재결정화를 유도할 수 있음을 보여줌..
접촉 저항을 낮추기 위한 다음 방법으로 Si에 대해 레이저 열처리를 사용한 TiSi₂ 형성을 탐구함. 본 연구에서는 티타늄 (titanium, Ti) 실리사이드의 단계 변환을 C49 중간 단계에서 원하는 C54 단계로 정밀하게 조사하였고, 최적의 에너지 밀도 범위가 100 mJ/cm²에서 120 mJ/cm²임을 확인함. 또한 형성된 TiSi₂의 전기적 특성도 논의되었으며, 100 mJ/cm²에서 C49-TiSi₂ 형성의 시작과 120 mJ/cm²에서 최적의 C54-TiSi₂ 형성이 접촉 저항성을 크게 개선한 것을 확인함. 결론적으로 레이저 열처리를 통해 낮은 저항의 TiSi₂를 형성하고 Si의 소스/드레인 영역에서의 낮은 저항 접촉을 달성하는 결과를 확인함.
다음으로, Ge에서 n형 도핑 레벨을 증가시키기 위한 다양한 전략이 연구되었음. 첫 시도로, P와 안티모니(Sb)를 함께 도핑한 Ge를 급속 열처리 공정 (Rapid Thermal Process, RTP) 및 플래시 램프 공정(Flash Lamp Annealing Process, FLP)을 통해 연구함. FLP는 짧은 시간 동안의 높은 에너지를 통해 도핑 농도를 크게 향상시켜, 1×1020 atoms/cm³를 달성하는 것으로 나타남. 도핑 농도를 더욱 높이기 위한 다음 방법으로, P, 주석(tin, Sn), 그리고 이트륨(yttrium, Y)의 공동 주입 및 RTP 활성화 공정이 연구됨. 결합된 P/Sn/Y 접근법은 P 농도를 극대화시켜, 기존의 P만을 사용한 주입에 비해 40배 증가한 4.14×1020 atoms/cm3까지 달성할 수 있음을 보여주었으며 높은 열적 안정성을 확보함. 다른 전략으로, LAP이 불순물 확산 프로파일을 제어하고 높은 불순물 활성화를 달성하는 능력에 대해 연구됨. 본 연구를 통해 LAP은 높은 활성화 불순물 농도 (2.98×1020 atoms/cm3)를 갖는 P와 Ge의 메타 상태의 혼합물을 형성하는 것으로 나타났으며, 하부 결정층을 따라 재결정된 영역을 생성할 수 있음을 확인함.
Ge 기판에 열적, 그리고 전기적으로 안정된 금속 접촉을 형성하기 위해 금속 나노입자 생성을 사용하여 3중 접촉 구조를 형성하였음. 니켈(Nickel, Ni) 나노섬을 Ti와 Ge의 접촉 영역에 도입하여 전기장을 증폭시키고 금속-반도체(MS) 계면에서의 유효 장벽 높이를 감소시키는 결과를 제시함. 본 구조를 형성하기 위해 Ge 위에 Ni 나노섬을 증착하고, 접촉재료로서 Ti을 사용하는 과정을 자세히 분석함. Ni 나노섬 삼중 접촉 구조는 1V에서 406 A/cm²의 높은 순방향 전류 밀도와 7.5×10-8 Ω∙cm²의 낮은 특정 접촉 저항(ρc)의 우수한 성능을 보여줌. 결과적으로 본 연구를 통해 Ti/Ni 나노섬/Ge의 3중 접촉 구조가 P의 활성화와 더불어 접촉 저항을 최적화하는 데 효과적임을 확인할 수 있으며, 특히 모든 공정은 기존 Si 공정과 호환됨을 강조할 수 있음.
최종적으로 본 논문은 레이저 열처리, 공-도핑, 그리고 3중 접촉 구조 형성 기술을 종합적으로 연구함으로써, 반도체 제조 분야에서 Si 및 Ge 기반의 소스/드레인 구조에서 저저항 접촉 구조를 형성할 수 있는 차세대 저온 공정에 대한 연구 결과를 제시함.|To sustain the Moore's Law, which anticipates a consistent doubling of transistor density every two years, the semiconductor industry is exploring innovative approaches as traditional 2D scaling approaches its physical and technological boundaries. Monolithic 3D (M3D) integration seeks to amplify device density, enhance performance, and reduce power consumption by vertically stacking multiple active device layers within a single chip. The method con- trasts sharply with traditional 2D architectures and promises to optimize data transfer speeds by minimizing the length and resistance of interconnects. However, the successful implementation of M3D requires address- ing several challenges, such as the need for low-temperature processing techniques. Complementary Field-Effect Transistor (CFET), a novel transistor architecture, is a potential successor to traditional CMOS technology. The approach offers many benefits, including higher drive currents, acceler- ated switching speeds, and reduced overall area consumption. The exploration of advanced materials, such as high-mobility semiconductors, is also underway to further boost the performance of CFETs. Therefore, it un- derscores the pivotal role of germanium (Ge) as a companion of silicon (Si) in CFETs. Characterized by higher carrier mobility compared to Si and a smaller bandgap, Ge is an attractive choice for high-performance, low-power devices. This thesis contributed to the field of semiconductor manufacturing by exploring innovative low-tem- perature processes, including laser activation, laser silicide process, co-implantation, and contact formation techniques to optimize Si- and Ge-based semiconductor devices. The findings showcase the potential of the methods to achieve high dopant concentrations, minimize dopant diffusion, and establish stable, low-re- sistance contacts, thereby presenting avenues for significant advancements in semiconductor manufacturing practices. First, continuous-wave (CW) and pulsed laser annealing techniques were investigated for activating phosphorus (P) dopants to form abrupt and shallow junctions in Si, minimizing dopant diffusion. The study indicated that CW laser activation could achieve dopant activation with limited diffusion but cautioned against high laser powers. Then, the pulsed laser activation process (LAP) was explored to create a shallow junction. LAP increased surface dopant concentrations with minimal dopant diffusion due to fast annealing. Finally, applying LAP in forming source and drain regions on M3D device structure was studied, demonstrating sig- nificant improvements in contact resistance and successful recrystallization. Therefore, it emphasized the po- tential of laser annealing techniques for low-temperature processes, offering effective dopant activation, and minimizing diffusion. The second chapter for Si delves into the formation of titanium (Ti) silicide, TiSi₂, using laser annealing as an alternative to traditional high-temperature annealing methods, addressing challenges related to dopant diffusion and structural complications. The study examines the phase transformation of Ti silicide from the intermediate C49 phase to the desired C54 phase, identifying an optimal energy density range of 100 mJ/cm² to 120 mJ/cm². The electrical properties of the formed TiSi₂ were also discussed, showcasing the onset of C49-TiSi₂ formation at 100 mJ/cm² and C54-TiSi₂ formation at 120 mJ/cm², significantly improving contact resistivity. It can be concluded that laser annealing is a promising technique for forming ultra-thin TiSi₂ phases and achieving low-resistance contacts in Si source/drain regions, highlighting its potential to advance semiconductor devices. The works underscores the efficacy and potential of laser annealing techniques in semiconductor fabrication. By exploring CW and pulsed laser annealing for P activation and laser annealing for TiSi₂ formation, the studies suggest a pathway toward optimizing semiconductor device fabrication while addressing critical challenges in conventional methods. Next, various strategies were studied to increase P doping levels in Ge. The study initially explores co- doping Ge with P and antimony (Sb), followed by a rapid thermal annealing process (RTP) and flash lamp annealing process (FLP). It is found that FLP remarkably enhances doping concentrations, surpassing 1×10²⁰ cm⁻³, due to its high energy in a short duration. Co-implantation of P, tin (Sn), and yttrium (Y) is studied to elevate dopant concentrations further. A combined P/Sn/Y approach synergistically maximizes P concentra- tion, achieving up to 4.14×10²⁰ atoms/cm³, a 40-fold increase compared to conventional P-only implantation. For the other strategy, the LAP is explored for its ability to control dopant diffusion profiles and achieve high dopant activation. LAP is shown to form a recrystallized layer with a metastable alloy of P and Ge, resulting in a high active P concentration. A novel approach for creating thermally and electrically stable metallic contacts on Ge substrates is a long-standing challenge in semiconductor devices. The study focuses on fabricating a triple contact structure using metal nano-particle formation, specifically incorporating Nickel (Ni) nano-islands at the contact area to amplify the electric field and decrease the effective barrier height at the metal-semiconductor (MS) interface. The study details the deposition of Ni nano-islands on Ge and the utilization of Ti as the contact material. The Ni nano-island contact demonstrates superior performance, with a high forward current density of 406 A/cm² at 1V and a low specific contact resistivity (ρc) of 7.5×10⁻⁸ Ω∙cm². The study underscores the efficacy of the triple contact structure of Ti/Ni nano-island/Ge in optimizing dopant activation and contact resistance while also being compatible with conventional fabrication processes. Therefore, the findings from the thesis comprehensively illuminate a path forward in semiconductor manufacturing. By adeptly studying laser annealing, co-doping, and innovative contact formation techniques, the research presents promising avenues for optimizing Si and Ge-based semiconductor devices. These ad- vancements significantly contribute to overcoming existing challenges and drive the industry into a new era of efficient, high-performance, low-power devices. Keywords: Silicon, Germanium, Phosphorus, laser annealing, low resistive contact
Table Of Contents
Ⅰ. Introduction 1
1.1 Motivation 1
1.1.1 Device Scaling Trends 1
1.1.2 Future Integrations and Architectures for Next-Generation 3
1.2 Source and Drain Formation 7
1.3 Contact Resistance 9
1.4 Methods for Contact Resistance Extraction 12
1.4.1 Transmission Line Method 12
1.4.2 Multi-ring Circular Transmission Line Method 15

ⅠI. Barrier Width Thinning for Si: Low-Temperature Doping Formation 16
2.1 Introduction 16
2.2 Dopant Activation of Phosphorus by Continuous-Wave Laser 18
2.3 Dopant Activation of Phosphorus by Pulsed Laser 23
2.4 Source and Drain Formation on Thin Si Film Devices 33

ⅠII. Barrier Height Lowering for Si: Low-Temperature Silicide Process 41
3.1 Introduction 41
3.2 Titanium Silicide Formation by Laser Annealing 47
3.3 Titanium Silicide Formation Analysis after Laser Annealing 49
3.4 Electrical Measurement Results 58

ⅠV. Barrier Width Thinning for Ge: Heavily Doped Phosphorus Contact Formation 61
4.1 Introduction 61
4.2 High P Concentration by Co-Implantation Process 62
4.2.1 P and Sb Co-Doping with Flash Lamp Annealing Process 62
4.2.2 P, Sn, and Y Co-Doping with Rapid Thermal Process 68
4.3 High P Concentration by Pulsed Laser Activation Process 87
4.4 Electrical Measurement Results 100

V. Barrier Height Lowering for Ge: Triple Contact Structure 102
5.1 Introduction 102
5.2 Nano-Island Fabrication for Triple Contact Structure 104
5.3 Electrical Measurement Results 110

VI. Conclusion 116
6.1 Summary 116
6.2 Future Works 118
URI
http://hdl.handle.net/20.500.11750/48030

http://dgist.dcollection.net/common/orgView/200000730337
DOI
10.22677/THESIS.200000730337
Degree
Doctor
Department
Department of Electrical Engineering and Computer Science
Publisher
DGIST
Related Researcher
Files in This Item:

There are no files associated with this item.

Appears in Collections:
Department of Electrical Engineering and Computer Science Theses Ph.D.

qrcode

  • twitter
  • facebook
  • mendeley

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE