Cited time in webofscience Cited time in scopus

Full metadata record

DC Field Value Language
dc.contributor.author Gilani, Syed Zohaib -
dc.contributor.author Park, Tae Joon -
dc.contributor.author Kim, Nam Sung -
dc.date.available 2017-07-11T06:28:57Z -
dc.date.created 2017-04-20 -
dc.date.issued 2014-10 -
dc.identifier.issn 0141-9331 -
dc.identifier.uri http://hdl.handle.net/20.500.11750/3145 -
dc.description.abstract Modern digital signal processors (DSPs) execute diverse applications ranging from digital filters to video decoding. These applications have drastically different arithmetic precision and scratch pad memory (SPM) size requirements. To minimize power consumption, DSPs often support aggressive dynamic voltage/frequency scaling (DVFS) techniques, requiring on-chip memory, such as SPM, to operate at low voltages. However, increasing process variations with aggressive technology scaling have significantly increased the failure rate of on-chip memory designed with small transistors operating at low voltages. Consequently, designs must use either larger and/or more transistors to have memory cells satisfy a target minimum operating voltage (VMIN) under a failure rate constraint. Yet using larger and/or more transistors for the SPM, which consumes a large fraction of the chip area, is costly. In this paper, we first propose SPM designs that exploit (i) the characteristics of applications and (ii) the tradeoffs between memory cell size and VMIN. Our approach can reduce the SPMs chip area by up to 17% and VMINby up to 52.5 mV. Second, we exploit the error-tolerant characteristics of some applications. Our proposed SPM can support lower VMINwith less mean square error than a conventional SPM with shortened word width. For error-sensitive applications that require high precision, we can lower VMINat the cost of reduced memory capacity. This approach may negatively impact the performance of applications with large memory footprints. However, we demonstrate that such applications are typically constrained by their execution latency requirements and are likely to operate at higher voltages/frequencies than applications with smaller memory footprints to satisfy their real-time execution constraints. © 2014 Elsevier B.V. All rights reserved. -
dc.language English -
dc.publisher Elsevier -
dc.title Low-cost scratchpad memory organizations using heterogeneous cell sizes for low-voltage operations -
dc.type Article -
dc.identifier.doi 10.1016/j.micpro.2014.06.002 -
dc.identifier.scopusid 2-s2.0-84907083355 -
dc.identifier.bibliographicCitation Microprocessors and Microsystems, v.38, no.7, pp.707 - 716 -
dc.description.isOpenAccess FALSE -
dc.citation.endPage 716 -
dc.citation.number 7 -
dc.citation.startPage 707 -
dc.citation.title Microprocessors and Microsystems -
dc.citation.volume 38 -
Files in This Item:

There are no files associated with this item.

Appears in Collections:
Department of Electrical Engineering and Computer Science Information and Communication Engineering Research Center 1. Journal Articles

qrcode

  • twitter
  • facebook
  • mendeley

Items in Repository are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE