Current filters:
Add filters:

Results 21-28 of 28 (Search time: 0.002 seconds).

Item hits:

Issue Date Title Author(s) Journal View
2023-04-18

Kim, Jiseung Lee, Hyunsei Imani, Mohsen Kim, Yeseong

Design Automation and Test in Europe Conference, 2023-04-18

11
2023-07-20

Imani, Mohsen Kim, Yeseong Khaleghi, Behnam Morris, Justin Alimohamadi, Haleh Imani, Farhad Latapie, Hugo

IEEE International Conference on Distributed Computing Systems, pp.511 - 522, 2023-07-20

50
2023-08-24

Ni, Yang Kim, Yeseong Rosing, Tajana Imani, Mohsen

International Joint Conference on Artificial Intelligence, pp.6474 - 6479, 2023-08-24

51
2023-07-13

Lee, Hyunsei Kim, Jiseung Chen, Hanning Zeira, Ariela Srinivasa, Narayan Imani, Mohsen Kim, Yeseong

Design Automation Conference, pp.23709098, 2023-07-13

14
2023-06-06

Ni, Yang Abraham, Danny Issa, Mariam Kim, Yeseong Mercati, Pietro Imani, Mohsen

ACM Great Lakes Symposium on VLSI, GLSVLSI 2023, pp.449 - 453, 2023-06-06

50
2023-07-13

Lee, Sanghoon Park, Jongho Ha, Minho Koh, Byung Il Park, Kyoung Kim, Yeseong

Design Automation Conference, 2023-07-13

50
2021-11-14

Zou, Zhuowen Kim, Yeseong Imani, Farhad Alimohamadi, Haleh Cammarota, Rosario Imani, Mohsen

ACM/IEEE International Conference for High Performance Computing, Networking, Storage, and Analysis (SC21), pp.1 - 15, 2021-11-14

8
2023-10-16

Chen, Hanning Kim, Yeseong Sadredini, Elaheh Gupta, Saransh Latapie, Hugo Imani, Mohsen

IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2023, pp.44 - 49, 2023-10-16

51

Discover

BROWSE