2. Conference Papers25

Issue Date Title Author(s) Journal View
2024-05-01

NDPipe: Exploiting Near-data Processing for Scalable Inference and Continuous Training in Photo Storage

Kim, Jungwoo Oh, Seonggyun Kung, Jaeha Kim, Yeseong Lee, Sungjin

Architectural Support for Programming Languages and Operating Systems, pp.689 - 707, 2024-05-01

117
2024-03-26

Lee, Hyunsei Kwon, HyukJun Kim, Jiseung Kim, Seohyun Imani, Mohsen Kim, Yeseong

Design Automation and Test in Europe Conference, pp.1 - 2, 2024-03-26

110
2023-07-20

Imani, Mohsen Kim, Yeseong Khaleghi, Behnam Morris, Justin Alimohamadi, Haleh Imani, Farhad Latapie, Hugo

IEEE International Conference on Distributed Computing Systems, pp.511 - 522, 2023-07-20

70
2023-10-16

Chen, Hanning Kim, Yeseong Sadredini, Elaheh Gupta, Saransh Latapie, Hugo Imani, Mohsen

IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2023, pp.44 - 49, 2023-10-16

67
2023-08-24

Ni, Yang Kim, Yeseong Rosing, Tajana Imani, Mohsen

International Joint Conference on Artificial Intelligence, pp.6474 - 6479, 2023-08-24

71
2023-06-06

Ni, Yang Abraham, Danny Issa, Mariam Kim, Yeseong Mercati, Pietro Imani, Mohsen

ACM Great Lakes Symposium on VLSI, GLSVLSI 2023, pp.449 - 453, 2023-06-06

68
2023-07-13

Lee, Sanghoon Park, Jongho Ha, Minho Koh, Byung Il Park, Kyoung Kim, Yeseong

Design Automation Conference, 2023-07-13

71
2023-07-13

Lee, Hyunsei Kim, Jiseung Chen, Hanning Zeira, Ariela Srinivasa, Narayan Imani, Mohsen Kim, Yeseong

Design Automation Conference, pp.23709098, 2023-07-13

28
2023-04-18

Kim, Jiseung Lee, Hyunsei Imani, Mohsen Kim, Yeseong

Design Automation and Test in Europe Conference, 2023-04-18

20
2021-01-18

HyperRec: Efficient Recommender Systems with Hyperdimensional Computing

Guo, Yunhui Imani, Mohsen Kang, Jaeyoung Salamat, Sahand Morris, Justin Aksanli, Baris Kim, Yeseong Rosing, Tajana

26th Asia and South Pacific Design Automation Conference, ASP-DAC 2021, pp.384 - 389, 2021-01-18

45
2021-02-01

Zou, Zhuowen Kim, Yeseong Najafi, Mohammadreza Najafi Imani, Mohsen

Design Automation and Test in Europe Conference, pp.850 - 855, 2021-02-01

37
2021-02-04

Hernandez-Cano, Alejandro Kim, Yeseong Imani, Mohsen

Design Automation and Test in Europe Conference, pp.1859 - 1864, 2021-02-04

28
2021-03-01

Imani, Mohsen Zou, Zhuowen Bosch, Samuel Rao, Sanjay Anantha Salamat, Sahand Kumar, Venkatesh Kim, Yeseong Rosing, Tajana

International Symposium on High-Performance Computer Architecture, pp.221 - 234, 2021-03-01

45
2021-11-03

Kim, Yeseong Imani, Mohsen Gupta, Saransh Zhou, Minxuan Rosing, Tajana S.

IEEE/ACM International Conference on Computer-Aided Design, pp.1 - 9, 2021-11-03

33
2021-11-14

Zou, Zhuowen Kim, Yeseong Imani, Farhad Alimohamadi, Haleh Cammarota, Rosario Imani, Mohsen

ACM/IEEE International Conference for High Performance Computing, Networking, Storage, and Analysis (SC21), pp.1 - 15, 2021-11-14

34
2021-12-05

Kim, Yeseong Kim, Jiseung Imani, Mohsen

Design Automation Conference, pp.775 - 780, 2021-12-05

30
2022-01-18

Kang, Jaeyoung Khaleghi, Behnam Kim, Yeseong Rosing, Tajana

27th Asia and South Pacific Design Automation Conference, ASP-DAC 2022, pp.220 - 225, 2022-01-18

27
2022-02-22

Park, Jisung Kim, Jeonggyun Kim, Yeseong Lee, Sungjin Mutlu, Onur

USENIX Conference on File and Storage Technologies, pp.247 - 263, 2022-02-22

23
2022-03-17

Ni, Yang Kim, Yeseong Rosing, Tajana Imani, Mohsen

Design Automation and Test in Europe Conference, pp.292 - 297, 2022-03-17

36
2022-03-22

Ni, Yang Kim, Yeseong Rosing, Tajana Imani, Mohsen

Design Automation and Test in Europe Conference, pp.612 - 615, 2022-03-22

36
1 2 > >>

BROWSE